VerilogHDL语言单时钟周期CPU设计


一个用VerilogHDL语言实现的单时钟周期CPU原代码,里面有完整的工程代码,逻辑图,报告文档等。此CPU共完成了16条常见MIPS指令。
资源截图
代码片段和文件信息
 属性            大小     日期    时间   名称
----------- ---------  ---------- -----  ----

     文件      44032  2010-01-06 14:46  单周期CPU设计指令执行过程.vsd

     文件    3489110  2010-01-14 18:46  单周期CPU设计SigCyl_CPU071221093.rar

     文件     304058  2010-03-11 20:09  单周期CPU设计单周期报告文档.docx

     文件      50688  2010-01-06 13:30  单周期CPU设计MIPS指令格式.vsd

     文件      98816  2009-12-17 20:37  单周期CPU设计SingleCyclCPU.vsd

     文件      15124  2010-01-14 15:36  单周期CPU设计仿真截图NTL.PNG

     文件      20428  2010-01-14 16:17  单周期CPU设计仿真截图im1.PNG

     文件      18042  2010-01-14 16:18  单周期CPU设计仿真截图im2.PNG

     文件      18436  2010-01-14 16:19  单周期CPU设计仿真截图im3.PNG

     文件      17619  2010-01-14 16:20  单周期CPU设计仿真截图im4.PNG

     文件      22346  2010-01-14 16:20  单周期CPU设计仿真截图im5.PNG

     目录          0  2010-01-14 15:36  单周期CPU设计仿真截图

     目录          0  2009-12-29 21:40  单周期CPU设计

----------- ---------  ---------- -----  ----

              4098699                    13


版权声明:本文内容由互联网用户自发贡献,该文观点仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌抄袭侵权/违法违规的内容, 请发送邮件举报,一经查实,本站将立刻删除。

发表评论

评论列表(条)