数字电路验证环境UVM实战教学


包含英文技术手册,及国内实际开发者编写的教程;另外,也会上传system verilog的中文教程,便于理解UVM的开发。通用验证方法学(Universal Verification Methodology, UVM)是一个以SystemVerilog类库为主体的验证平台开发框架,验证工程师可以利用其可重用组件构建具有标准化层次结构和接口的功能验证环境。
资源截图
代码片段和文件信息
 属性            大小     日期    时间   名称
----------- ---------  ---------- -----  ----

     文件    2304614  2018-07-10 14:14  uvm_users_guide_1.2.pdf

     文件    6708042  2018-07-25 11:22  UVM_chinaese.pdf

----------- ---------  ---------- -----  ----

              9012656                    2


版权声明:本文内容由互联网用户自发贡献,该文观点仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌抄袭侵权/违法违规的内容, 请发送邮件举报,一经查实,本站将立刻删除。

发表评论

评论列表(条)